个性化阅读
专注于IT技术分析

需要在WordPress网站的代码中添加语法颜色

需要将代码段写入Wordpress网站。在此, 代码段需要突出显示代码的关键字。当前, 使用的语言是Verilog。需要有关如何使用WordPress插件或主题修改突出显示任何语言的任何代码关键字的解决方案。


#1


我建议使用像Hugo这样的静态网站生成器。默认情况下, 它使用Chroma进行语法突出显示, 并且其中支持(System)Verilog。

你只需在Markdown中将代码块编写为:

```systemverilog
`timescale 1ns/1ps

module top;

  initial begin
    $timeformat(-9, 3, " ns", 11); 
    #10.1;
    $display("[%t] Hello", $realtime);
    $finish;
  end

endmodule : top
```
赞(1)
未经允许不得转载:srcmini » 需要在WordPress网站的代码中添加语法颜色

评论 抢沙发

评论前必须登录!